فهرست مطالب:

آردوینو 2 دلار ATMEGA328 بصورت مستقل آسان ، ارزان و بسیار کوچک. راهنمای کامل .: 6 مرحله (همراه با تصاویر)
آردوینو 2 دلار ATMEGA328 بصورت مستقل آسان ، ارزان و بسیار کوچک. راهنمای کامل .: 6 مرحله (همراه با تصاویر)

تصویری: آردوینو 2 دلار ATMEGA328 بصورت مستقل آسان ، ارزان و بسیار کوچک. راهنمای کامل .: 6 مرحله (همراه با تصاویر)

تصویری: آردوینو 2 دلار ATMEGA328 بصورت مستقل آسان ، ارزان و بسیار کوچک. راهنمای کامل .: 6 مرحله (همراه با تصاویر)
تصویری: آموزش برنامه نویسی آردوینو - پارت اول - Arduino 2024, جولای
Anonim
Image
Image
فهرست قطعات
فهرست قطعات

در این مقاله آموزشی نحوه استفاده از تراشه میکروکنترلر Arduino ATMEGA328 به عنوان یک میکروکنترلر مستقل را خواهید آموخت.

آنها فقط 2 دلار هزینه دارند ، می توانند همانند Arduino شما را انجام دهند و پروژه های شما را بسیار کوچک کنند.

ما طرح پین ، نحوه آماده سازی آن برای نرم افزار آردوینو با سوزاندن بوت لودر و نحوه بارگذاری طرح ها را پوشش خواهیم داد.

بقیه این دستورالعمل را تماشا کنید تا بدانید چگونه می توانید پروژه های آردوینو خود را در کوتاه ترین زمان کوچکتر و ارزان تر کنید.

مرحله 1: لیست قطعات

1 آردوینو

1 تراشه ATMEGA328P-PU. مال خودم را اینجا گرفتم:

تخته نان

سیم ها

اختیاری: LED و مقاومت 330 اهم برای آزمایش

مرحله 2: کتابخانه را بارگیری و نصب کنید

کتابخانه را بارگیری و نصب کنید
کتابخانه را بارگیری و نصب کنید
کتابخانه را بارگیری و نصب کنید
کتابخانه را بارگیری و نصب کنید

یک برد آردوینو به طور استاندارد با یک نوسان ساز خارجی 16 مگاهرتز عرضه می شود.

ما واقعاً به این نوسان ساز 16 مگاهرتزی نیاز نداریم زیرا ATMEGA328P-PU دارای یک نوسان ساز 8 مگاهرتزی است.

برای اینکه این تراشه به عنوان یک میکروکنترلر مستقل با فرکانس 8 مگاهرتز کار کند ، باید یک کتابخانه برای محیط آردوینو خود بارگیری و نصب کنیم.

برای انجام این کار ، روی پیوندی که با نسخه آردوینو مطابقت دارد کلیک کنید تا فایل زیپ بارگیری شود.

1-6-x.zip ، 1-5-x.zip یا 1-0-x.zip خواهد خورد

Bootloader را بسوزانید
Bootloader را بسوزانید

در مرحله بعد باید پوشه Arduino sketchbook را با کلیک روی File → preferences → "Sketchbook Location" پیدا کنیم. در مورد من "C: / Users / tomtomheylen / Documents / Arduino" این مورد در مورد شما می تواند متفاوت باشد.

مکان را کپی کرده و به "this pc" بروید ، آن را در نوار چسبانده و enter را فشار دهید.

اگر پوشه ای با نام "سخت افزار" مشاهده کردید ، آن را باز کنید.

در غیر اینصورت ، با کلیک راست ، پوشه جدیدی با نام "سخت افزار" ایجاد کرده و "پوشه جدید" را انتخاب کرده و "سخت افزار" را تایپ کنید. حالا بازش کن

پوشه breadboard را از بایگانی zip به پوشه "سخت افزار" منتقل کنید.

Bootloader را بسوزانید
Bootloader را بسوزانید

Arduino IDE خود را مجدداً راه اندازی کنید و به "Tools. board" بروید.

اگر همه چیز خوب است ، باید در لیست "Atmega 328 روی تخته نان (ساعت داخلی 8 مگاهرتز)" را ببینید.

سخت ترین بخش در حال حاضر انجام شده است ، بنابراین بیایید در آن ATMEGA328 زندگی پمپاژ را سرگرم کنیم.

مرحله 3: Bootloader را رایت کنید

این تراشه های میکروکنترلر ATMEGA328 معمولاً خالی می شوند. برای اینکه آنها را با Arduino IDE کار کنیم ، باید کاری انجام دهیم که "سوزاندن بوت لودر" نامیده می شود. این یک کد کوچک است که ما روی تراشه می سوزانیم تا نرم افزار آردوینو را درک کند.

بارگذاری طرح ها
بارگذاری طرح ها

برای انجام این کار ، Arduino خود را به رایانه خود وصل کنید و به "File → samples → ArduinoIsp" بروید و "Arduino Isp" را انتخاب کنید. این طرح را در Arduino خود بارگذاری کرده و ارتباط خود را با کامپیوتر قطع کنید.

بارگذاری طرح ها
بارگذاری طرح ها

همانطور که در تصویر مشاهده می کنید ، آردوینو را با ATMEGA328 متصل می کنیم.

به نیم دایره روی تراشه توجه کنید. مطمئن شوید که در سمت راست قرار دارد.

اکنون Arduino خود را متصل کرده و در Arduino IDE به "tools → Programmer" بروید و "Arduino as ISP" را انتخاب کنید.

سپس به "Tools → Board" بروید و "Atmega 328 on a breadboard (ساعت داخلی 8 مگاهرتز)" را انتخاب کنید.

حالا به ابزارها بروید و "Burn Bootloader" را انتخاب کنید.

بوت لودر شما سوخته است و تراشه شما آماده بارگذاری طرح ها است!

در صورت وجود پیغام خطا ، آردوینو را از برق بکشید و مراحل قبلی را تکرار کنید.

مرحله 4: بارگذاری طرح ها

برای بارگذاری یک طرح ، باید تراشه ATMEGA328 را از برد آردوینو بردارید و مطابق تصویر به تخته نان متصل شوید.

همچنین می توانید از برنامه نویس USB به سریال مانند FT232RL برای این کار استفاده کنید. من یک دستورالعمل کوتاه در این مورد در اینجا تهیه کرده ام:

برای تست طرح پلک زدن ، یک لامپ را با مقاومت روی برد وصل کرده ام.

در اینجا نحوه استفاده از این تصویر برای طرح پین است.

بنابراین برای مثال اگر پین 13 را در IDE مقداردهی کنید ، نشان دهنده پین 13 در برد Arduino یا پین 19 در تراشه ATMEGA328 است.

تبریک می گویم ، شما موفق شدید! اکنون می توانید لحیم کاری پروژه های کوچک آردوینو خود را بدون هیچ هزینه ای شروع کنید.

مرحله 5: چند نکته مفید

چند نکته مفید
چند نکته مفید

من این نکته آموزنده را با ارائه چند نکته مفید دیگر به پایان می رسانم:

اگر پروژه ای را لحیم می کنید ، باید از سوکت DIP 28 پین استفاده کنید و بعد از لحیم کاری پروژه ، ATMEGA328 را اضافه کنید.

مال خودم را اینجا گرفتم

تمرین خوب این است که چند پین هدر زنانه را به 3 پایه اول بچسبانید تا در صورت نیاز بتوانید طرح ها را تغییر داده یا بارگذاری کنید.

اگر میکرو کنترلر شما رفتار عجیبی دارد ، می توانید یک خازن 10 تا 100 uf را بین + و -اضافه کنید.

هنگام سفارش تراشه اطمینان حاصل کنید که ATMEGA328P-PU است.

مرحله ششم: توجه نهایی

آیا این دستورالعمل را دوست داشتید ، لطفاً روی دکمه مورد علاقه کلیک کنید و مشترک شوید.

همچنین "چگونه می توان کلون های چینی آردوینو چینی را تعمیر کرد" قابل آموزش را مطالعه کنید.

در دستورالعمل بعدی شما را می بینیم.

با تشکر،

تام هیلن

فیس بوک:

برای کمک به ادامه این کار کمک کنید:

توصیه شده: