فهرست مطالب:

طراحی UART در VHDL: 5 مرحله
طراحی UART در VHDL: 5 مرحله

تصویری: طراحی UART در VHDL: 5 مرحله

تصویری: طراحی UART در VHDL: 5 مرحله
تصویری: آموزش طراحی گیرنده GPS با FPGA ( دوره اول قسمت اول) 2024, جولای
Anonim
طراحی UART در VHDL
طراحی UART در VHDL

UART مخفف Universal Asynchronous Receiver Transmitter (فرستنده گیرنده ناهمزمان جهانی) است. این محبوب ترین و ساده ترین پروتکل ارتباطی سریال است. در این مقاله آموزشی ، نحوه طراحی یک ماژول UART در VHDL را خواهید آموخت.

مرحله 1: UART چیست؟

برای ارتباط با لوازم جانبی مختلف ، پردازنده ها یا کنترل کننده ها معمولاً از ارتباط UART استفاده می کنند. این یک ارتباط سریالی ساده و سریع است. از آنجا که UART تقریباً در همه پردازنده ها مورد نیاز است ، معمولاً برای استفاده مجدد و سهولت ادغام ، به عنوان هسته IP Soft در VHDL یا Verilog طراحی می شوند.

مرحله 2: مشخصات

مشخصات UART طراحی شده در زیر آورده شده است:

* سیگنال های استاندارد UART.

* میزان باود قابل تنظیم از 600-115200.

* نمونه برداری = 8xreceiver

* طراحی ثابت شده FPGA - روی برد Xilinx Artix 7.

* تست شده بر روی لوازم جانبی UART ، Hyperterminal با موفقیت - همه باودراتها

مرحله 3: روش طراحی

  1. ما 3 ماژول را طراحی می کنیم که بعداً آنها را برای تکمیل UART ادغام می کنیم.

    • ماژول فرستنده: از انتقال داده های سریال مراقبت می کند
    • ماژول گیرنده: از دریافت داده های سریال مراقبت می کند
    • ماژول مولد باود: از تولید ساعت باود مراقبت می کند.
  2. ماژول ژنراتور Baud به صورت پویا قابل تنظیم است. با توجه به سرعت مورد نظر ، دو ساعت باود از ساعت اصلی تولید می کند. یکی برای فرستنده و دیگری برای گیرنده.
  3. ماژول گیرنده از نرخ نمونه برداری 8 برابر استفاده می کند تا احتمال خطا در دریافت را به حداقل برساند ، یعنی ساعت باود گیرنده 8 برابر باود فرستنده 8 برابر است.
  4. سیگنال های کنترل برای کنترل انتقال و دریافت و همچنین سیگنال وقفه.
  5. رابط سریال استاندارد UART بدون بیت برابری ، یک بیت توقف و شروع ، 8 بیت داده.
  6. رابط موازی برای ارتباط با میزبان ، یعنی پردازنده یا کنترل کننده ، که داده های موازی را از و به UART تغذیه و دریافت می کند.

مرحله 4: نتایج شبیه سازی

نتایج شبیه سازی
نتایج شبیه سازی

مرحله 5: فایل های پیوست شده

* ماژول فرستنده UART -فایل vhd

* ماژول گیرنده UART - فایل vhd

* ماژول Baud generator - فایل vhd

* ماژول UART - ماژول اصلی اصلی که ماژول های فوق را ادغام کرده است - فایل vhd

* مستندات کامل UART IP Core - pdf

برای هرگونه سوال ، با من تماس بگیرید:

میتو راج

مرا دنبال کنید:

برای سوالات ، با: [email protected] تماس بگیرید

توصیه شده: